HOME > 論理素子による設計

 論理素子による設計 

論理素子による作成から回路のダウンロードまで

基本的な操作手順

1.ロジック設計
2.プロジェクト設定
3.コンパイル
4.デバイス設定
5.ピン設定
6.コンパイル
7.ダウンロード

*表記
斜体文字・・・ウィンドウ
[     ] ・・・メニュー
(     ) ・・・例

1.ロジック設計(Graphic Editor)

1.1 Graphic Editorを開く
Max+plus2 Manegerで[File]-[New]をクリックする。 

FILE_NEW.GIF
NewでFile TypeをGraphic Editor fileに設定し、OKをクリックする。
graphic_editor.gif
1.2 Graphic Symbolを選択し、作図する Graphic Editor上で、右クリックし、[Enter Symbol]をクリックする。
enter_symbol.gif
Enter SymbolのDirectories:をc:\maxplus2\max2libに設定し、サブディレクトリから必要なSymbolを選択し、 OKをクリックする。(例:primディレクトリをダブルクリックし、Symbol Files:でand2を選択している。)
symbol_lib.gif
(例:and2、input、outputのSymbolを選択し、drawing tools(左端ツールボックス)を使って結線した2入力AND回路)
untitled_graphic.gif
1.3 入出力端子にピン名を設定する input、outputのSymbol上で、右クリックし[Edit Pin Name]を選択し、PIN_NAMEを書き換える。
EDIT_PIN.GIF
(例:inputをand2_in1、and2_in2、outputをand2_outというピン名にした。)
editted_pin.gif
1.4 ファイルを保存する Max+plus2 で[File]-[Save As]をクリックする。
SAVE_AS.GIF
Save Asでファイルを保存するDirectories:(例:c\maxplus2\max2work\ahdl)を選択し、Automatic Extension: を.gifとしFile Name:(例:and2)を記入し、OKをクリックする。
save_as_file.gif

2.Projectを設定する

Max+plus2 で[File]-[Project]-[Set Project to Current File]をクリックする。
set_project.gif

3.コンパイル

Max+plus2 で[Max+plus2]-[Compiler]をクリックする。 緑色のトップバーにコンパイルするProject名が表示されます。(例:c:\maxplus2\max2work\ahdl\and2)
set_compiler.gif
Compiler でStartをクリックします。
COMPILER.GIF
このメッセージがでたらOKをクリックし、次にすすむ。
OK_MSG.GIF
このメッセージがでたらOKをクリックし、Compiler を閉じ 2.Graphic Symbolを選択し、作図する に戻り、間違いを訂正する。
failure_msg.gif

4.デバイス設定

Max+plus2 で[Assign]-[Pin/Location/Chip]をクリックする。
ASSIGN.GIF
Pin/Location/ChipでAssign Deviceをクリックする。
pin_device.gif
DeviceでDevice Family:をFLEX10Kに、Devices:をEPF10K208-3に設定し、OKをクリックする。
DEVICE.GIF

5.ピン設定

Pin/Location/ChipでSearchをクリックする。
pin_device.gif
Search Node DatabaseでListをクリックし、Names in Database:から設定するピンを選択し、OKをクリックする。
search_nododatabase.gif
Pin/Location/ChipでChip ResourceのPin:とPin Type:でピン設定し、Addをクリックする。 (例:FPGAボードの回路図を参照し、トグルスイッチの1つを入力に割り当てた。)
PIN_ADD.GIF
Pin/Location/ChipのExisting Pin/Location/Chip Assignments:にピン設定データが表示される。 引き続きSearchをクリックし、同様に残りの入出力ピンの設定を行い、OKをクリックする。 (例:FPGAボードの回路図を参照し、入力にトグルスイッチを出力にLED接続端子を割り当てた。)
PIN_SET.GIF

6.コンパイル

Max+plus2 で[Max+plus2]-[Compiler]をクリックする。 緑色のトップバーにコンパイルするProject名が表示されます。(例:c:\maxplus2\max2work\ahdl\and2)
set_compiler.gif
Compiler でStartをクリックします。
COMPILER.GIF
このメッセージがでたらOKをクリックし、次にすすむ。
downsok_msg.gif
このメッセージがでたら、いいえをクリックします。
notfitted_msg.gif
このメッセージがでたらOKをクリックし、Compiler を閉じ 7.デバイス設定、8.ピン設定に戻り、間違いを訂正する。
failure_msg.gif

7.ダウンロード

Max+plus2 で[Max+plus2]-[Programmer]をクリックする。
set_programmer.gif
このメッセージがでたらOKをクリックし、Max+plus2 で[Options]-[Hardware Setup]をクリックする。
nohard_msg.gif
Hardwar SetupでHardware Type:をByteBlasterに、Parallel Port:をLPT1:に設定しOKをクリックする。
hardsetup_win.gif
ダウンロード用ケーブルをプリンタポートとFPGA基板に接続し、FPGA基板電源をONにする。 ProgrammerでConfigureをクリックする。
set_programmer.gif
このメッセージがでたらOKをクリックし、基板で動作検証をします。
downok_msg.gif
このメッセージがでたらOKをクリックし、ケーブルと電源を確認し再度Configureをクリックする。
notfitted_msg.gif

< 前の記事へ次の記事へ >


ページトップに戻る



ESP32 Wifi Bluetooth開発ボード

Arduino Nano Every
​​
Raspberry Pi pico

FPGA XILINX Artix-7